Console Output

Started by an SCM change
Running as SYSTEM
[EnvInject] - Loading node environment variables.
Building in workspace /var/lib/jenkins/workspace/Chisels-and-Bits
No credentials specified
 > git rev-parse --is-inside-work-tree # timeout=10
Fetching changes from the remote Git repository
 > git config remote.origin.url https://github.com/AlgorithmX2/Chisels-and-Bits.git # timeout=10
Fetching upstream changes from https://github.com/AlgorithmX2/Chisels-and-Bits.git
 > git --version # timeout=10
 > git fetch --tags --progress https://github.com/AlgorithmX2/Chisels-and-Bits.git +refs/heads/*:refs/remotes/origin/* # timeout=10
Seen branch in repository origin/1.10
Seen branch in repository origin/1.10.2
Seen branch in repository origin/1.10_sharing
Seen branch in repository origin/1.11
Seen branch in repository origin/1.11.2
Seen branch in repository origin/1.12
Seen branch in repository origin/1.12_param
Seen branch in repository origin/1.12_sharing
Seen branch in repository origin/1.8
Seen branch in repository origin/1.8.9
Seen branch in repository origin/1.9
Seen branch in repository origin/1.9.4
Seen branch in repository origin/Crossworld_NBT_PRIMARY_STATE
Seen branch in repository origin/gh-pages
Seen branch in repository origin/refactor_BitState
Seen branch in repository origin/sharing
Seen 16 remote branches
 > git show-ref --tags -d # timeout=10
Multiple candidate revisions
Scheduling another build to catch up with Chisels-and-Bits
Checking out Revision 1ec2247f652fef9cbeb768d3092a6e613826dfa2 (origin/1.8)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f 1ec2247f652fef9cbeb768d3092a6e613826dfa2 # timeout=10
Commit message: "License Mod as LGPLv3"
First time build. Skipping changelog.
[Chisels-and-Bits] $ /bin/sh -xe /tmp/jenkins8601454449511235734.sh
+ rm -rf build/libs
[Gradle] - Launching build.
[Chisels-and-Bits] $ /var/lib/jenkins/workspace/Chisels-and-Bits/gradlew -s clean setupCIWorkspace
Download http://files.minecraftforge.net/maven/net/minecraftforge/gradle/ForgeGradle/1.2-SNAPSHOT/ForgeGradle-1.2-20190215.223950-303.pom
Download http://files.minecraftforge.net/maven/net/minecraftforge/gradle/ForgeGradle/1.2-SNAPSHOT/ForgeGradle-1.2-20190215.223950-303.jar
#################################################
         ForgeGradle 1.2-SNAPSHOT-fb514d3        
  https://github.com/MinecraftForge/ForgeGradle  
#################################################
               Powered by MCP snapshot_20141130               
             http://modcoderpack.com             
         by: Searge, ProfMobius, Fesh0r,         
         R4wk, ZeuX, IngisKahn, bspkrs           
#################################################
:clean
:downloadClient SKIPPED
:downloadServer SKIPPED
:getVersionJson
:extractUserDev UP-TO-DATE
:mergeJars SKIPPED
:applyBinPatches SKIPPED
:downloadMcpTools SKIPPED
:extractMcpData UP-TO-DATE
:genSrgs SKIPPED
:deobfBinJar SKIPPED
:setupCIWorkspace UP-TO-DATE

BUILD SUCCESSFUL

Total time: 12.912 secs
Build step 'Invoke Gradle script' changed build result to SUCCESS
[Gradle] - Launching build.
[Chisels-and-Bits] $ /var/lib/jenkins/workspace/Chisels-and-Bits/gradlew -s -Plocal_maven=/var/www/dvs1/files/maven reobf uploadArchives
#################################################
         ForgeGradle 1.2-SNAPSHOT-fb514d3        
  https://github.com/MinecraftForge/ForgeGradle  
#################################################
               Powered by MCP snapshot_20141130               
             http://modcoderpack.com             
         by: Searge, ProfMobius, Fesh0r,         
         R4wk, ZeuX, IngisKahn, bspkrs           
#################################################
:extractMcpData UP-TO-DATE
:getVersionJson
:extractUserDev UP-TO-DATE
:genSrgs SKIPPED
:downloadClient SKIPPED
:downloadServer SKIPPED
:mergeJars SKIPPED
:applyBinPatches SKIPPED
:downloadMcpTools SKIPPED
:deobfBinJar SKIPPED
:compileApiJava UP-TO-DATE
:processApiResources UP-TO-DATE
:apiClasses UP-TO-DATE
:sourceMainJava
:compileJavawarning: [options] bootstrap class path not set in conjunction with -source 1.6
Note: Some input files use or override a deprecated API.
Note: Recompile with -Xlint:deprecation for details.
1 warning

:processResources
:classes
:jar
:reobf
:uploadArchives

BUILD SUCCESSFUL

Total time: 14.886 secs
Archiving artifacts
Recording fingerprints
Recording fingerprints
Collecting metadata...
Metadata collection done.
Started calculate disk usage of build
Finished Calculation of disk usage of build in 0 seconds
Started calculate disk usage of workspace
Finished Calculation of disk usage of workspace in 0 seconds
Finished: SUCCESS